約 1,344,945 件
https://w.atwiki.jp/nb100/pages/16.html
ソフトウェア名のみのものは、正常動作するものです。 動作はするが、特別重い場合、NB100でのみ異常動作する場合などは、それを書き込んでください。 Microsoft Windows Windows XP Home SP3(プリインストール) Windows XP Professional Windows Vista Business Windows Vista Ultimate Windows 7 Ultimate Beta(XPより若干重いが、実用レベル(メモリ2GB)) Windows 7 RC(NL ノーマル デバマネでひとつ「?」があるが、とりあえず快適) Mac OS Mac OS X 10.5 Leopard Linux Ubuntu 8.10縦の解像度が低いため、ウィンドウの下のボタンが押せないことが多々あり。複数のデスクトップを縦に並べて切り替えれば押すことができる。compizを切れば、Alt + ドラッグでウィンドウをずらせる。 欧州で発売されているNB100はUbuntu搭載モデルもある Ubuntu 8.10 Desktop 日本語 Remix は、こんな方法で無線LANを認識した。http //ryusai.hp.infoseek.co.jp/utbuntu-on-usb.html これなら、導入直後に無線LANを認識した。http //www.geteasypeasy.com/ http //sourceforge.jp/projects/fedoracube/ 「ライブCDの部屋」にあるeeePCにカスタマイズしたものも、NB100で無線LANを認識する可能性がある。 Ubuntu 8.10(Wubi)(やや重い) Ubuntu 9.042009/4/25 ja をライブUSBで起動OK。無線LAN、サウンド、画面解像度問題無し。 Ubuntu 9.04 Netbook Remix 動作確認 無線LANは自動的に認識 仮想PC VirtualBox 2.1.0 for Windows(動作はするが、Updateなどの高負荷時フリーズ(ゲストOS Windows 2000 Professional)) VMware Workstation 6.0.5、VMware Player 2.0.5 ともに快調です。Win2K, ubuntu8.10ほか。
https://w.atwiki.jp/dmori/pages/73.html
VCSから波形ファイルを出力する概要 動作確認 テストコードパタン1 ソースコードファイル1 ファイル2 VCSから波形ファイルを出力する 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 未確認 Cver 未確認 テストコード (概要を記述予定) (実行方法を記述予定) パタン1 (パタン内容を記述予定) initial begin //verilogテストパタン1 end (実行結果1) ソースコード ファイル1 ///////////////////////////////////// // 波形出力 // ///////////////////////////////////// initial begin // .fsdb file (verdi) // +vcsd -P ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/vcsd.tab \ // ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/pli.a \ // +define+FSDB_ON `ifdef FSDB_ON $fsdbDumpfile("wave.fsdb"); $fsdbDumpvars(0,top); `endif // .vpd file (dve) // -debug_pp +define+VPD_ON `ifdef VPD_ON $vcdplusfile ("wave.vpd" ); $vcdpluson(); `endif end (概要を記述予定) ファイル2 (verilogソースコード2) (概要を記述予定) 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/emups2/pages/92.html
タイトル(ABC、あいうえお順) 動作 コメント あ~お アイドル雀士スーチーパイIV ◎ ノープロブレム アオイシロ ○ 一応クリア確認 あかね色に染まる坂ぱられる ○ 動作確認 悪代官2 ~妄想伝~ ○ 結構まともに動く 悪魔城ドラキュラ 闇の呪印 ○ 影の表示が変な以外は特に問題ないかも Another Century s Episode2 SV版 ○ ルートクリア確認 Another Century s Episode3 ○ ルートクリア確認 アーマード・コア ラストレイヴン(ス) △ かなりおもいが一応動く アーマード・コア2 アナザーエイジ(ス) △ 操作できるところになるとフリーズ 雨格子の館(ス) ○ 30~60 ARIA The NATURAL 〜遠い記憶のミラージュ〜 ◎ クリア確認 R-TYPE FINAL(ス) ○ 性能があれば普通に遊べそう アルトネリコ 世界の終わりで詩い続ける少女 ○ クリア確認。背景画像がズレる。セーブデータを複数保持できない? アルトネリコ2 世界に響く少女たちの創造詩 △ 進行不可バグ有り アンリミテッド・サガ △ EERecをオフで動作。 EVE Burst Error PLUS × 落ち イリスのアトリエ グランファンタズム ○ セーブOKになった ウィザードリィ エクス ~前線の学府~ ○ クリア確認 ウィザードリィ エクス2 ~無限の学徒~ ○ 序盤まで確認 ウォーシップガンナー2 鋼鉄の咆哮 ○ GSdx0.11.3で動作・画質改善 うたわれるもの ◎ クリア確認。Core2 4GHzでOPムービー60fps達成。 SNK スロットパニック 球児(ス) ○ 音も動作も完璧サクサクで普通に遊べる H2O Plus ○ 1キャラクリア確認 SDガンダム ジージェネレーションスピリッツ ○ クリア確認・一部ステージでキャラクターの顔とセリフの表示がおかしい SDガンダム ジージェネレーションNEO △ クリア未確認 エースコンバット5 ジ・アンサング・ウォー × 操作不能 エスプガルーダ(ス) ○ 敵弾多いと10-20 大神 ○ 快適動作♪ オーディンスフィア ○ クリア確認 か~こ 蚊2 レッツゴーハワイ ○ 快適動作 カオスウォーズ(ス) △ セリフやダメージが表示されず 塊魂 △ ムービーでフリーズ かまいたちの夜2 監獄島のわらべ唄 △ 初めの船のシーン(ムービー)で止まる。 かまいたちの夜×3(トリプル) ○ ピンクシナリオクリア かのこんえすいー ○ 動作に問題なし。 仮面ライダー 正義の系譜 △ 一部表示に問題あり。 カルドセプトセカンドエキスパンション ○ ムービー遅いけど遊べる(序盤のみ確認) ガンダムトゥルーオデッセイ ○ ハイスペックPCなら完璧に動くかも。 ガンダム無双Special ○ オフィシャルモードクリア確認 機動戦士ガンダム00 ガンダムマイスターズ ○ ミッションモード全てクリア確認済 機動戦士ガンダムSEED DESTINY 連合 VS. Z.A.F.T.II ○ クリア確認 機動戦士ガンダム ガンダムvs.Zガンダム ○ ゲームプレイには支障無い 機動戦士ガンダム ギレンの野望~アクシズの脅威V~ ○ クリア確認 映像に線が入ることがある 稀にフリーズする(音声スキップorオプションで音声オフで回避) 機動戦士ガンダム ギレンの野望~ジオン独立戦争記~ ◎ クリア確認 機動戦士ガンダム クライマックスUC ○ VU Skipを利用してもムービーが重い 機動戦士Zガンダム エゥーゴVSティターンズ ○ 一面クリア確認 機動戦士ガンダム 連邦vs.ジオンDX ○ 対戦モードで確認 機動戦士ガンダム めぐりあい宇宙 ○ ストーリーモードクリア キミキス ○ ほぼ完全に動く Castlevania ○ 敵が多いともっさりしてくるが普通にプレイ可能。 ギャラクシーエンジェルII 絶対領域の扉&無限回廊の鍵 ○ グラに一部不具合がある程度 GUILTY GEAR XX ACCENT CORE ○ FPS35前後 GUILTY GEAR XX ACCENT CORE PLUS ○ FPS35前後 GUILTY GEAR XX SLASH ○ FPS40前後 KINGDOM HEARTS FINAL MIX(ス) ◎ 完璧 キングダムハーツ2 ○ PG版で大きく改善。一部GSdxでHPバー表示バグ くまうた ○ 動くようになったクマー(`・(ェ)・) グラディウスⅤ ○ 遊べる グラディエーター ロード トゥ フリーダム REMIX ○ GSdxのバージョンアップにより再現性向上 グランツーリスモ3 ○ 動くようになった開発GJ グランツーリスモ4 ○ GSDXのrendererをdirect3d9(software)することでプレイ可能 グランツーリスモ コンセプト2001トーキョー △ レース直前でフリーズ GTA SAN ANDREAS 日本語版 ○ PC性能次第ではもっと快適? GTA Liberty City Stories 日本語版 ○ PC性能次第ではもっと快適? GTA Vice City Stories 日本語版 ○ シリーズ中では一番軽い動作 グリムグリモア(ス) ○ 30~60fps グローランサーVI(ス) ○ 30~60fps 決戦Ⅲ ○ イベントシーンで難があるがかなり軽い 幻想水滸伝V(ス) ? 30で重い 攻殻機動隊 STAND ALONE COMPLEX △ 設定次第でプレイ可能だが非常に重い God of War2 終焉への序曲 △ メーカーロゴのあと止まる コードギアス反逆のルルーシュLOSTCOLORS ○ 最後にセーブできないので2周目以降はプレイ不可 さ~そ サイレント・ヒル2「最期の詩」 ○ クリア確認 サイレント・ヒル3 ○ クリア確認 THE KING OF FIGHTERS98 ULTIMATE MATCH ○ 激重、ハイスペックマシンなら○かも。(追記)大分改善されたのかfps55前後で◎に近いプレイが可能に サクラ大戦Ⅴ × 動作はそこそこだけどパズルの様でプレイは困難 ザ・警察官 新宿24時(ス) ? gs,zeroともに上手く描写されずガビガビ ザ・逃走ハイウェイ ~名古屋-東京~ × 色々設定を変えてみたが動かなかった THE 地球防衛軍2 △ かなり動くようになった ザ・ナイトメア・オブ・ドルアーガ 不思議のダンジョン ○ 最初のダンジョンまで サモンナイト3 ○ 本編・番外クリア確認 三國志11withパワーアップキット ○ クリア確認 サンダーフォースVI △ 3面ボス(ヒトデ)で固まった。 Jリーグ ウイニングイレブン2008クラブチャンピオンシップ ○ もっさりだがプレイは可能 Jリーグ プロサッカークラブをつくろう! 04 △ グラフィックが正常に表示されない 実況パワフルプロ野球14(ス) ○ 試合画面40-60FPS CR新世紀エヴァンゲリオン~奇跡の価値は~ ○ 実機並に快適 ジーワンジョッキー2 2001 ○ GSdx0.10.8で問題解消 ジーワンジョッキー4 2007(ス) △ ZeroGSではコースが表示されず 白中探険部(ス) ○ FPS40~50くらい Shining Force EXA ○ クリア確認。60-45fps(敵大量沸き時) シャドウハーツ フロム・ザ・ニュー・ワールド(ス) ? 55-60だけど妙に暗い Shadow of Memories × 起動せず 首都高バトル0 △ アクセル認識せず ジョジョの奇妙な冒険 黄金の旋風(かぜ) ○ クリア未確認。Direct3D10利用で60fps 真・三國無双 ○ 無双モードクリア確認 真・三國無双2 ○ 無双モードクリア確認 真・三國無双2猛将伝 ○ ステージ終了まで確認 真・三國無双4 Empires ○ 25fps~60fps。争覇モードクリア確認。 真・女神転生3 NOCTURNE マニアクス(ス) ○ 60fps スター ウォーズ フォース アンリーシュド △ テクスチャがぐちゃって画面が見えない スター ウォーズ エピソード3シスの復讐 △ テクスチャがぐちゃぐちゃ スターオーシャン3 Till the End of Time × 即強制終了 スターオーシャン3 DC版 △ PG Rev626で動作確認 ステラデウス ○ プレイするならZeroSPU2がオススメ。 スーパーロボット大戦IMPACT △ 敵が反撃してこない スーパーロボット大戦MX ○ しょぼいPCでも動くようになった? スーパーロボット大戦OG ORIGINAL GENERATIONS ○ しょぼいPCでも動くようになった? スーパーロボット大戦Scramble Commander the 2nd(ス) △ 何故かアナログスティックが反応しない スーパーロボット大戦Z ○ ◎にしてもいいくらいの再現度 涼宮ハルヒの戸惑(ス) ○ 性能と設定次第で軽くなり、違和感なし。OPムービーは劇重。通常セーブ不可。 ストリートファイターZERO Fighter s Generation △ ゲームプレイは激遅 正義の味方 ○ 第1話クリア確認 ゲーム進行に支障はない 聖闘士星矢 聖域十二宮編 ○ クリア確認 音声がややおかしい セガエイジス2500シリーズ Vol.12 ぷよぷよ通 パーフェクトセット ? 音声のループが治った ゼノサーガ EPISODEⅢ ツァラトゥストラはかく語りき ○ DX10ではほぼ60FPS ゼロの使い魔 迷子の終止符と幾千の交響曲 △ 音にノイズ・セーブ出来ず。 ゼロの使い魔 夢魔が紡ぐ夜風の幻想曲 △ 音にノイズ・セーブ出来ず。 戦国BASARA2(ス) ? 戦場20、他は60 戦国BASARA X(クロス) ○ 特に問題なさそうです 戦国無双 ○ ステージ終了まで確認 戦国無双2 ○ ステージ終了まで確認 戦国無双2 Empires ○ 15~60fps。シナリオクリア確認。 装甲騎兵 ボトムズ(ス) ○ 普通に遊べる ソウルキャリバーII(ス) ○ 一部のオブジェクトが化けてる ソウルキャリバーIII(ス) △ OP中のギャラガでフリーズ?したのか操作不能に ソウルクレイドル 世界を喰らう者(ス) ○ フィールド出て1戦目まで動作確認 続せがれいじり変珍たませがれ △ 3Dオブジェクトが描画されない た~と 太閤立志伝Ⅴ ◎ 完璧な動作 太鼓の達人 ドカッ!と大盛り七代目 ○ (多分)完璧な動作 タイムクライシス3(ス) × 起動せずブラックアウト 第二次スーパーロボット大戦α ○ クリア確認 第三次スーパーロボット大戦α ○ クリア確認 DIRGE OF CERBERUS FINAL FANTASY VII(ス) △ 処理重め、ときおり画面が乱れるがプレイは可能 テイルズ オブ ジ アビス ○ 小バグ多々有り、隠し要素含めクリア確認 テイルズ オブ シンフォニア ○ DX10では30~50FPSで最大30FPSほどの差が出る テイルズ オブ デスティニー △ 終盤回避不可バグ有り テイルズ オブ デスティニー2 ○ クリア確認 テイルズ オブ リバース ○ 取ったらゲームが固まる宝箱と、そうでない宝箱がある。 デビルサマナー 葛葉ライドウ 対 超力兵団 ○ クリア確認 ティンクルスターサプライツ ○ OP回避すれば他は特に問題なし テニスの王子様SmashHit 1・2 ○ 音源を間違えなければ問題ない DEMENTO ○ 画面がぼやける DOA2 HARD-CORE × 起動不可 天外魔境2 ○ ムービー以外は60fpsで動作 天誅紅(ス) △ 背景のカオスが直らなかった以外は結構いい感じ、FPS40~50 どこでもいっしょ トロといっぱい △ プロフすぎて強制終了 怒首領蜂 大往生(ス) ○ どの場面でもほぼ60fpsで推移 智代アフター ○ キャラの顔の下に薄い線が出るが、それ以外では特に支障なし。 ドラッグ オン ドラグーン △ ゲーム中は敵が一人も表示されず進行不可。 ドラゴンクエスト&ファイナルファンタジーinいただきストリートSpecial △ マスが表示されない→Zero GS KOSMOSプラグインのAdvanced OptionsでDisable alpha testingにチェックを入れると表示されるようになる ドラゴンクエストⅤ 天空の花嫁 ○ 音声・各種ウィンドウ以外は良好/クリア確認 ドラゴンクエストVIII 空と海と大地と呪われし姫君 ○ キャラクターの描画でwire frame剥き出しになる以外は良好 ドラゴンシャドウスペル(ス) ? 動く DRAGON BALL Z Sparking! METEOR ○ 十分遊べるレベルだけどメモカへのセーブが出来ない トランスフォーマー △ 現状は遊べるレベルではない な~の ナースウィッチ小麦ちゃん マジカルて(ス) ○ OP、変身シーンもっさり NAMCO x CAPCOM ○ 戦闘画面でキャラの表示がおかしくなるが、動作は良好。 ナムコミュージアム アーケードHITS! ◎ タイトル画面は激重 NARUTO -ナルト- 疾風伝 ナルティメットアクセル1・2(ス) ○ 乃木坂春香の秘密 ○ 問題なく動作 は~ほ バイオハザード4 ○ クリア可能。リヘナラドールを倒す時に使用するサーモスコープを覗いてもターゲットが見えない。(ZeroGSで表示可能) バイオハザードアウトブレイク ○ 現時点(最新がPCSX2 svn239)でセーブは厳禁。メモカが壊れる。人柱用のメモカを作って試す事推奨 バイオハザードアウトブレイク2 ○ 現時点(最新がPCSX2 svn239)でセーブは厳禁。メモカが壊れる。人柱用のメモカを作って試す事推奨 流行り神Revenge 警視庁怪異事件ファイル ◎ 零話まで確認 半熟英雄 対 3D △ プレイは困難 半熟英雄4 ~7人の半熟英雄~ ◎ クリア確認 ひぐらしのなく頃に 祭(ス) ○ ほぼ問題なし ひぐらしのなく頃に祭 カケラ遊び ◎ クリア確認 beatmaniaIIDX 13 ○ 表示問題はないが60fps固定動作は厳しい FINAL FANTASY X ○ クリア確認(インター 国内版) FINAL FANTASY XⅡ ○ クリア確認 ヴァルキリープロファイル2 △ Playground Rev626で動作 ファントム・キングダム ◎ 完全動作 ファントム・ブレイブ2週目はじめました ◎ 完全動作 Fate/stay night(ス) ○ FPS10~FPS60以上 Primal Image vol.1(ス) △ ジャギジャギだ FRAGMENTS BLUE(ス) ○ グラ、サウンド共に問題なし PRISM ARK -AWAKE- ○ グラ、サウンド共に問題なし ブレスオブファイアⅤ ドラゴンクォーター ○ 少々重く、文字が見づらい ぷよぷよ! 15th Anniversary ○ 背景以外問題全くなし ぷよぷよフィーバー2(ス) ? 余裕で60(fps)でる プロ野球スピリッツ5 ○ 遊べます フロントミッション4(ス) ? 動くようになった!! フロントミッション フィフス~スカーズ・オブ・ザ・ウォー~ o nonVM版のみ可 クリア確認 ペルソナ3 △ メモリカード使用不可 ペルソナ3 フェス ○ 本編・後日談クリア確認 ペルソナ4 ○ バグ解消、クリア確認 北斗の拳 ~審判の双蒼星 拳豪列伝~ △→○ 2Dグラが完全に崩れてる。(追記)改善した模様でfps45前後で普通にプレイ可能に pop n music 11 △ 常時点滅して目に悪い ま~も 魔界戦記ディスガイア(ス) ○ OPは実機並み マグナカルタ △ タイトルでNew Gameを選んだらフリーズ。 マナケミア ~学園の錬金術士たち~ △ バグいろいろ マナケミア2 ~おちた学園と錬金術師たち~ △ メモカへのセーブが出来ない等 魔法先生ネギま!課外授業 乙女のドキドキビーチサイド(ス) △ やや崩れた2D表示と完全に崩れた3D表示 ミステリート ◎ クリア確認 みんなのゴルフ4 ○ コースが重い 虫姫さま(ス) ◎ 完全動作。60fpsで安定。協力プレイも問題なし。 無双OROCHI(ス) ○ 敵少数だとFPS60 メタルギアソリッド2 △ 最初のシーンでFPS10以下 メタルギアソリッド3 スネークイーター △ 最初のムービーで停止 METAL SAGA(ス) ○ サウンドがやけに遅くなったりする メモリーズオフ6 ~T-wave~ ○ 60fpsで進行。 モンスターハンター2 ○ 平均fps60~62くらい や~ん ゆめりあ △ メーカーロゴの後、動作せず 夜明け前より瑠璃色な 〜Brighter than dawning blue〜(ス) ? OPもっさり ラクガキ王国2 魔王城の戦い ○ 序盤まで確認 ランブルローズ ○ ストーリーモードクリア確認 GSdx 0.1.5以降で再現度向上しました リッジレーサーファイブ △ 重すぎてゲームにならない 龍が如く(ス) △ 1995年って出たあたりで止まる。 ロマンシングサガ ミンストレルソング ○ クリア確認 ダメージ表示・フリーズの問題が解消されました ローグギャラクシー ディレクターズカット × 8章以降になるとプレイできなくなる。2層目を読み込めないのが原因? ワールドサッカー ウイニングイレブン7(ス) ○ マルチスレッドを切れば正常に描写 我が竜を見よ(ス) ? 起動したけど遅い ワンダと巨像 ○ 20~50FPS、視点によって重さが変わる
https://w.atwiki.jp/absolute8/pages/13.html
web系メニュー> 開発環境 開発言語 開発ツール 開発環境> WindowsXP以降 MacOSX10.4 MacOSX10.5以降 ubuntsu10以降 開発言語> html css javascript php parl Ruby 開発ツール> エディタ類 動作確認 サーバーサイド テンプレートエンジン フレームワーク
https://w.atwiki.jp/ninja22/pages/29.html
2007.10.26 ホタル通信の現状 大幅に期間が開きましたが、ログ再開します。 インタラクティブ東京「くまたちと」ブースにて、 ホタル通信(くま Ver.)をデモしてきました。 ホタル通信のこれから Arduinoは割り込み処理ができない。 ので、マイコンをPICに変えます。 手順メモ PIC プログラマ V4をインストール PIC-C コンパイラをインストール MPLAB IDEをインストール RS232c(UC-SGT)ドライバのインストール PIC Writerの製作 PIC用 回路の製作 PICにプログラムを書き込む PIC Writer 動作確認 ただいま、6. PIC用 回路の動作確認の途中。 電源が入ることは確認済。書き込み、動作チェックは未確認。 RS232cと電源?が手元にない。 コメント 名前 コメント today - yesterday -
https://w.atwiki.jp/sh-03a/
シャープ SH-03AまとめWikiにようこそ 2008年12月19日に発売されたSH-03Aのまとめサイトです。 本体部分はSH-01Aと共用なので、SH-01Aのまとめサイトも参考にしてください。 最新情報 2009年08月20日:小技系を少し充実させてみました。 (2ch SH-06Aスレが大変参考になりました。ありがとうございます。) 2009年05月27日:ソフトウェアアップデート再開されました。 microSDの不具合が解消されているそうです。 更新時間:約17分(5/15の更新で適用された方は約1分で終了します。) アップデート後の動作確認BBSがあります。もしよろしければ投稿のほどよろしくお願いいたします。 (利用される方の混乱を避ける為、BBSを統合させていただきました。) 2009年05月18日:ソフトウェアアップデート一時中断されています。 たぶん5月15日のソフトウェアアップデートによる下記不具合が原因と思われます。 すでにアップデートされmicroSDの不具合になった方は、しばらく待った方がいいかと思います。 2009年05月15日:ソフトウェアアップデートが配信されました。 注意:アップデート後、一部のmicroSDHCが認識できなくなるとの報告が相次いでいます。 (Transend製、A-data製、上海問屋製あたりが危険らしいです。) 特に東芝製、サンディスク製、パナソニック製以外のメーカーより動作確認されていないmicroSD、SDHCを使用されている方はアップデートの際にはバックアップを取るなり、十分に注意してください。 2009年03月05日:microSDHC動作確認報告用BBSを追加しました。実際に購入された方の貴重な情報をお待ちしております。 2009年02月19日:フォントを追加しました。また、メニューの階層をシンプルに1階層にしました。 2009年02月03日:ソフトウェアアップデートが配信されています。 まだの方は万一の失敗の為、バックアップを取ってから更新すると良いかと思います。 不具合解消 一部レスポンスチューニングが施されているようです。 FAQ参照 注意! Please wait~のままで電源が落ちてしまい、起動できなくなるという報告が多くなっています。 原因その1 FOMAカードによる接触不良。ドコモショップで清掃、または緑FOMAカードの場合は白FOMAカード交換で解決する場合もあるようです。 原因その2 本体の粗悪品っぽいメモリによる故障。この場合ドコモショップで無償修理または交換扱いになり、本体のデータは全消去されます。 まだ発症していない場合は万一に備え、バックアップをとった方が良いかもしれません。 個体差がありますが、購入後およそ3~5ヶ月ぐらいに発症するのが多いようです。 サードパーティー製のリール型USBケーブルではPCとの接続ができないことがあるようです!(ラスタバナナとオウルテックのもので確認) Hi-Speed USBに対応しているためだと思われます。純正か、メーカー側でSH-03A、もしくはSH-01Aで動作確認ができているものを購入しましょう。 おすすめアイテム サンディスク Mobile Ultra microSDHC 8GB 久々に5000円を切りました。動作鉄板の高速microSDHC。 ラスタバナナ 充電端子用平型+ステレオジャック変換アダプタ 充電端子に差しても、充電しながら音楽の聴けるアダプタ。便利。 mobile用サイトマップ トップページ スペック カメラ機能 音楽機能 動画機能 Bluetooth microSD・SDHC アクセサリその他 iアプリ フォント FAQ 小技系 リンク microSDHC動作確認報告用BBS これまでの振動回数は - 回、今日は - 回ビュワーモードになり、昨日は - 回、シャッターが切られました。
https://w.atwiki.jp/emups2/pages/72.html
PS2ソフト動作報告 - は Baldur's Gate Dark Aliance(動作状況 ○) HUNGRY GHOSTS(動作状況 ○) バーチャファイター4(動作状況 〇) バーチャファイター4 Evolution(動作状況 ○) バーチャファイターサイバージェネレーション ジャッジメントシックスの野望(動作状況 〇) バーンアウト2 POINT OF IMPACT(動作状況 ○) バーンアウト3 テイクダウン JP版(動作状況 ○) バーンアウト ドミネーター(動作状況 ○) バーンアウト リベンジ(動作状況 ○) バイオハザード4(動作状況 ○) バイオハザード コードベロニカ 完全版 (動作状況 ◎) バイオハザード アウトブレイク(動作状況 ○) バイオハザード アウトブレイクFILE2(動作状況 ◎) パイロットになろう!2(動作状況 ○) バウンサー(動作状況 ○) はじめの一歩 VICTORIOUS BOXERS CHAMPIONSHIP VERSION Playstation 2 the Best(動作状況 △) はじめの一歩 ALL☆STARS(動作状況 ○) パチパラ12 ~大海と夏の思い出~(動作状況 ○) パチパラ13 スーパー海とパチプロ風雲録(動作状況 ○) パチってちょんまげ達人 6 CRぱちんこイエローキャブ(動作状況 ◎) パチってちょんまげ達人 8 ぱちんこウルトラセブン(動作状況 〇) パチってちょんまげ達人 9 ぱちんこ水戸黄門(動作状況 〇) パチってちょんまげ達人10 ぱちんこ冬のソナタ(動作状況 〇) パチってちょんまげ達人16 ぱちんこ必殺仕事人III 祭バージョン(動作状況 ◎) パックマンワールド2(動作状況 〇) バックヤードレスリング(動作状況 ○) バックヤードレスリング2(動作状況 ○) バトル オブ サンライズ(動作状況 〇) バトルギア2(動作状況 ○) バトルギア3(動作状況 ○) バトルスタジアムD.O.N(動作状況 〇) バトルフィールド2 モダン・コンバット(動作状況 ○) パネルクイズ アタック25(動作状況 〇) はぴねす!でらっくす初回限定版(動作状況:◎) パラッパラッパー2(動作状況 ○) パラッパラッパー2(ベスト版)(動作状況 ○) バルドバレット イクリブリアム(動作状況 ○) バルドフォースエグゼ(動作状況 ○) パルフェ~Chocolat Second Style~(動作状況 ○) バレーボールワールドカップ ヴィーナスエボリューション(動作状況 〇) パンツァーフロントB型(動作状況 〇) 鋼の錬金術師 翔べない天使 (動作状況 ○) 鋼の錬金術師2 赤きエリクシルの悪魔 (動作状況 ◎) 鋼の錬金術師 ドリームカーニバル (動作状況 ○) 爆笑 人生回道 NOVAうさぎが見てるぞ!!(動作状況 ○) 爆走コンボイ伝説 男花道アメリカ浪漫(動作状況 ○) 爆走デコトラ伝説 男花道夢浪漫(動作状況 ○) 花と乙女に祝福を-春風の贈り物-(動作状況 ◎) 破滅のマルス (動作状況 ○) 流行り神Revenge 警視庁怪異事件ファイル(動作状況 ◎) 流行り神2 警視庁怪異事件ファイル(動作状況 ◎) 半熟英雄 対 3D 半熟英雄4 ~7人の半熟英雄~(動作状況 ◎) Baldur's Gate Dark Aliance(動作状況 ○) pcsx2-v1.7.4469 Vulkan ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 6xNativeで60FPS 最初の地下道のネズミ退治だけ確認 ■備考 投稿 2023/05/05 HUNGRY GHOSTS(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 DirectX(HW)、4xNativeで60FPS 内部解像度は序盤のみなので断言できないが序盤の段階で6xNativeでは40FPS前後まで落ちる 起動確認程度 ■備考 投稿 2021/12/02 バーチャファイター4(動作状況 〇) PCSX2 1.7.3771 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce GTX1660ti Windows11pro ■動作速度・不具合の有無 ARCADEで数試合動作確認 確認した範囲で問題なし 3XNativeで常時60fps ■備考 2023/1/5 バーチャファイター4 Evolution(動作状況 ○) PCSX2v2 1.2.1 Gsdx 0.1.16 r5875 AVX2 spu-x2.0.0 cdvdGigaherz 0.9.0 Core i5 4570 3.2GHz DDR3 4GBx2 Radeon HD 6570 Windows7 64bit SP1 ■動作速度・不具合の有無 特に問題は見当たらない。2015年3月の現行ヴァージョンではグラフィックのインターレス(F5キーで変更)以外はデフォルト設定で動作する。 上記スペックであれば、1920x1080で60fps動作可。リミッター無効で84fps出るので随分余裕がある。 ■備考 なお旧情報として、C2D E8400 3GHz / GeForce 8800 GS では30-45fps程度との報告あり。 (動作状況 ○) pcsx2-v1.5.0-dev-2443 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。特に問題なさそう。 ■備考 投稿 2018/07/16 バーチャファイターサイバージェネレーション ジャッジメントシックスの野望(動作状況 〇) PCSX2 1.7.0-dev-615 GSdx 1.2.0(20201119200323) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 バーチャソウル獲得→晶→シュン・ディ勝利まで動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2020/11/21 バーンアウト2 POINT OF IMPACT(動作状況 ○) pcsx2-v1.7.2326 Direct3D11 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro 6xNativeで60FPS ドライビングスクールとシングルレースでチェック ■備考 投稿 2022/02/04 バーンアウト3 テイクダウン JP版(動作状況 ○) PCSX2 0.9.8 r5087 Gsdx r5085 SSE41 SPU2-X r5025 SCPH-50000JP core i7 2700K(3.50GHz) DDR3 1600 4GB×4 Geforce N460GTX HAWK Windows7 ■動作速度・不具合の有無 基本60FPS レース中は画面の光がバグって表示されるが、GSdx.iniのUserHacks_SkipDraw=3にすると解決。 空が真っ暗に表示されるが、GAMEをSoftwareで起動しレース中にHardwareに変更する事で一時的に解決するが、バグったり直ったりを繰り返す。 一部ライト等の光が画面上に多少残る現象がある。 ■備考 上記の真っ暗な空の設定は起動する度に再設定する必要あり。 海外版は基本設定で動作するらしい。 pcsx2-v1.7.2326 Direct3D11 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro 6xNativeで60FPS シングルレースでチェック。修正が入っているのでほぼ問題ない。 ■備考 投稿 2022/02/04 バーンアウト ドミネーター(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(SW)、OpenGL(SW)で60FPS。レンダリングスレッドは4以上で。2だと60FPSにならないが3は試していない HWだと空が表示されない。少なくともHW Hacksをいじると余計おかしくなることが多い どうしてもHWでプレイしたい場合は車種選択でSWに切り替え、ステージスタートしたら空が黒くないことを確認してからHWにする。ただしコースの特定部分に灰色の線が入る。なお、この切り替えはゲーム起動後に1回だけでいいようだ。 HWに切り替えた場合は6xNativeでも60FPS 最初のコースのみ確認。 ■備考 v1.4.0、v1.5.0系だとHW時に余計おかしくなるのでv1.6.0かv1.7.0を推奨 投稿 2021/02/10 pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 Core i7 8559U @2.7GHz 16GBx2 Iris Plus 655 Win10pro 64bit ■動作速度・不具合の有無 DirectX(SW)、OpenGL(SW)で60FPS。レンダリングスレッドは4以上 基本的な挙動は上の環境と同じだが、HWに切り替えた場合は2xNativeまでなら60FPS ■備考 投稿 2021/02/10 バーンアウト リベンジ(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 軽く走った程度 ■備考 投稿 2020/08/13 バイオハザード4(動作状況 ○) PCSX2 0.9.5 svn377 GSdx 0.1.8 ZeroSPU2 0.4.4 Linuzappz CDVD 0.7.0 C2D E6400 (2.13GHz)→(3.00GHz OC) PC6400 1GBx2 512MBx2 Geforce 8800GTS 512 WindowsXP SP2 ■動作速度・不具合の有無 操作する場面ではFPS40~60。軽さにちょっと感動。(ZeroGSでは15~20FPS+表示がおかしい) ○GSdxの設定で「D3D internal res」の値が大きく影響する。 (村入り口で遠くの落ち葉を見ると比較できます。) 512 or Native → かなり落ちる。 1024 → 普通。 2048 → 最高に綺麗。 ○ハードスペックによるフレーム数の変化 CPU 2.13GHz低格 GPU GForce7600GT 512 or Native → 40FPS 1024 → 30FPS 2048 → 3FPS CPU 2.13GHz低格 GPU GForce8800GTS 512 512 or Native → 54FPS 1024 → 54FPS 2048 → 25FPS CPU 2.66GHz OC GPU GForce8800GTS 512 512 or Native → 60FPS 1024 → 58FPS 2048 → 29FPS CPU 3.00GHz OC GPU GForce8800GTS 512 512 or Native → 60FPS 1024 → 60FPS 2048 → 32FPS クリア可。 GSDXだとリヘナラドールを倒す時に使用するサーモスコープを覗いてもターゲットが見えない。(ソフト○ GSDX rev594バージョンで確認)または(ZeroGS(openGL版はKOSMOS ForkならOK)を使用する事により表示可能です) リヘナラドール戦のみZeroGS使用した方がいいです(グラの表示おかしくなるので) ZeroGSに切り替えるのが面倒くさい方は、マグナムまたはロケットランチャーを使用して倒しましょう。 ■備考 PCSX2 0.9.7 rev4060 GSdx 0.1.16 r4031 SPU2-X 1.4.0 r4055 Linuz ISO CDVD 0.9.0 PhenomII X4 955 BlackEdition @3.6GHz DDR3-1333 2GBx2 RADEON HD 5770 1GB Windows 7 ■動作速度・不具合の有無 speedhacksの「Enable Wait Loop Detection」と「mVU Flag Hack」をオンでほぼ60fpsを維持出来る。しかし、敵が多く出現する場面では50fps前後に落ちる。(村中央や籠城戦など) GSdx.iniのUserHacks_HalfPixelOffsetを1にすると、レオンがボヤケて霞んで見える事がある不具合が解消される。 テクスチャ化けや霧のエフェクトが表示されない等、一部にグラフィックの不具合があるが、プレイには全く支障は無い。 ハードウェアレンダリングだとサーモスコープを覗いても紫一色で画面が見えなくなるが、その時だけソフトウェアレンダリングに切り替えれば問題は無い。(ただしfpsは著しく落ちる) ■備考 難易度ノーマル&ハードでクリア確認。 プレイ困難なテクスチャ化けもクラッシュも皆無で、快適に動作しました。 pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 起動確認程度 ■備考 投稿 2021/10/24 (動作状況 ○) PCSX2 1.6.0 GSdx 1.2.0 SPU2-X 2.0.0 ISO起動 i5-11400 CPU @ 2.60GHz DDR4 16GB Geforce GTX 1660 Super Windows 10 64bit ■動作速度・不具合の有無 3xNativeで60fps エンディングまで確認 ポリゴンつなぎ目に白い線が出る。GSDX設定で [enable HW Hacks]にチェックを入れると解決 ■備考 投稿 2021/12/29 バイオハザード コードベロニカ 完全版 (動作状況 ◎) ■【動作環境】 PCSX2 1.1.0.0 GSdx 5785(MSVC 16.00, SSE3) SPU2-X r5776 2.0.0 Windows7 SP1 64bit Intel Core i7-3770 DDR3 4GBx4 NVIDIA Quadro 410 Intel SSD 520シリーズ 180GB ■コメント 完全クリア確認しました。クリア後のセーブも正常で、ムービーも固まらず全て見られました。 バトルゲームもクリア確認しました。全キャラクリア可能です。 プラグインの設定が適切でない場合、人物テクスチャの一部が透過して目玉ギョロギョロ、口の中が見えるなどのスケルトン状態になります。 ゲームソフトのisoファイルをハードディスクから読み込むと、広いマップへ移動した時やステータス画面を開いた時などにアクセスランプが激しく点滅し、動作がカクつきます(WD製のRED、2TBでもこの状態でした)。SSDでは通常通りストレスなくプレイできます。 (動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 起動確認程度 ■備考 投稿 2021/10/18 バイオハザード アウトブレイク(動作状況 ○) PCSX2 0.95 svn239 GSdx 0.1.0 P.E.Op.S. SPU2 1.9.0 Linuzappz CDVD 0.7.0 C2D E6850 (3.6GHz) DDR2-800/DC 1GBx2 512MBx2 Geforce 8800GT/OC WindowsXP SP2 ■動作速度・不具合の有無 60FPS付近で安定。 ムービーは正常だが、途中でスタートボタンを押したみたいに強制的に打ち切られる。 メモリーカードにセーブすると、他のデータまで巻き込んでメモカが破損するのでセーブはしない方が吉。QSで。 破損したメモカを使うとbios画面すら起動せず強制終了する。メモカも認識されず。 ■備考 セーブできるか試す時は、それ専用のメモカを用意した方がいい。 セーブは可能になってる。(PCSX2 1.0 r5350より) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 起動確認程度 ■備考 投稿 2021/10/24 バイオハザード アウトブレイクFILE2(動作状況 ◎) PCSX2 r1474 GSdx r962 SSSE3 SPU2-X 1.2.0 Linuzappz CDVD 0.9.0 C2D E6750 (3.12GHz) DDR2-800 1GBx2 Geforce 8600GT WindowsXP SP3 ■動作速度・不具合の有無 全編クリア確認 常時60FPSで快適にプレイ可能 ムービーも問題なく正常に再生できる セーブも問題なし グラフィツクもほぼ完璧に再現されている ■備考 D3D internal res 1280×1024 本体スピハグ無しでも快適に動作します。 EE Sync Hacksの×2を使用するとたまにムービー中の音声が再生されないことがあります GSdxのLogarithmic Zを使用するので視点によりテクスチャーが消える箇所があります(地下鉄のラクガキ等) microVuを使用すると異界でムービー後キャラが消えるバグが発生するので無し推奨 現時点(最新がPCSX2 svn239)でセーブは厳禁。メモカが壊れる。ステートセーブ/ロードもしくは人柱用のメモカを作って試す事推奨 (動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 起動確認程度 ■備考 投稿 2021/10/24 パイロットになろう!2(動作状況 ○) pcsx2-v1.5.0-dev-2242 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeで常時60FPS。 ■備考 投稿 2018/01/08 バウンサー(動作状況 ○) pcsx2-v1.7.5309 Vulkan ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro pcsx2-v1.7.5312 Direct3D11 ISO起動 SCPH-70000 Intel N95 8GBx1 UHD Graphics Windows11 ■動作速度・不具合の有無 10980XE 2xNativeで60FPS(100%)。内部解像度を上げると映像がぶれるが気にしなければ6xNativeで60FPS。2xまでならほぼ目立たない。 2周目2戦目まで確認 感圧必須なので非対応だと強攻撃しか出来ないが、ストーリーモードをクリアするだけなら問題ない。 N95(クリアデータから2周目途中まで) 1.75xNativeでほぼ60FPS(100%) VulkanだとPCSX2ごとクラッシュすることがあり、D3D12だとムービーがランダムに一瞬暗転してちらつく感じになる。 ■備考 投稿 2023/12/19 はじめの一歩 VICTORIOUS BOXERS CHAMPIONSHIP VERSION Playstation 2 the Best(動作状況 △) PCSX2 0.9.8 r4600 Gsdx r4600 0,1,16 SSE41 SPU2-X r4600 1,4,0 SCPH-50000JP core i3 560 (4.4GHz) DDR3 1333 2GBx2 RADEON HD4670 windowsXP SP3 ■動作速度・不具合の有無 ハックONで60FPSハックを使わないとFPS45~52くらい ポーズをかけるとフリーズする 音がおかしい オープニング画面でバグる ラウンド終了後次のラウンドが始まるまで通常より時間がかかる 試合終了後にフリーズする(autosaveをONにしておけば再起動することによってストーリーを進めていくことは可能) ■備考 試合部分については問題なくプレイできます はじめの一歩 ALL☆STARS(動作状況 ○) PCSX2 0.9.8 r4600 Gsdx r4600 0,1,16 SSE41 SPU2-X r4600 1,4,0 SCPH-50000JP core i3 560 (4.4GHz) DDR3 1333 2GBx2 RADEON HD4670 windowsXP SP3 ■動作速度・不具合の有無 常時60FPS ■備考 ほぼ問題なく遊べます パチパラ12 ~大海と夏の思い出~(動作状況 ○) pcsx2-v1.5.0-dev-3142 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(HW)、Nativeで40~55FPS。SWだとレンダリングスレッド8で30FPS前後。 パチスロ風雲録で若干色がおかしいのと画面左上にゴミが表示される。HW、SWに関わらず。 パチンコを打つ画面のみHW、6xNativeで60FPSで動作する。 ■備考 投稿 2019/06/13 パチパラ13 スーパー海とパチプロ風雲録(動作状況 ○) pcsx2-v1.5.0-dev-3142 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(SW)で45~60FPS。HWでもNativeで48~60FPS。 SWプラグインでないとミニマップ表示がおかしい パチンコを打つ画面のみHW、6xNativeで60FPSで動作する。 ■備考 投稿 2019/06/13 パチってちょんまげ達人 6 CRぱちんこイエローキャブ(動作状況 ◎) PCSX2 1.5.0dev3400 GSdx 20200327183028 SPU2-X 2.0.0(20200327183028) cdvdGigaherz 0.11.0(20200327183028) RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 確認した範囲では問題点は見当たらず 6xNativeで60fps動作 「し」に書くか悩みましたがシリーズものなのでこっちで ■備考 2020/4/14 パチってちょんまげ達人 8 ぱちんこウルトラセブン(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 チャレンジモードで1キャラ勝利 時折音楽がおかしい D3D 6×Nativeで60fps動作 ■備考 2020/12/26 パチってちょんまげ達人 9 ぱちんこ水戸黄門(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 攻略モードで150回転ほど動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2020/12/26 パチってちょんまげ達人10 ぱちんこ冬のソナタ(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 チャレンジモードで1キャラ勝利 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2020/12/26 パチってちょんまげ達人16 ぱちんこ必殺仕事人III 祭バージョン(動作状況 ◎) PCSX2 r4580 Gsdx r4576 SPU2-X r4574 Linuz Iso CDVD 0.9.0 C2Q Q9650 @4.05GHz DDR2 8GB RadeonHD 5750 Windows7 Ultimate x64 SP1 ■動作速度・不具合の有無 ハードウェア、ソフトウエア共に問題無し。Hack無しでも常に60fps ■備考 投稿日時:2011/04/20 パックマンワールド2(動作状況 〇) PCSX2 1.7.5144 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 パックマンむらクリアまで動作確認 確認した範囲で問題なし 3Xnativeで常時60fps ■備考 2023/10/22 バックヤードレスリング(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 軽くチェックのみ ■備考 投稿 2021/08/10 バックヤードレスリング2(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 軽くチェックのみ ■備考 投稿 2021/08/10 バトル オブ サンライズ(動作状況 〇) PCSX2 1.7.5659 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 第01話クリアまで動作確認 確認した範囲で問題なし 3Xnativeで常時60fps ■備考 2024/3/31 バトルギア2(動作状況 ○) pcsx2 0.9.8.4557 GSdx4557 0.1.16 SPU2-X r4510 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 アーケード-初級をMR2で走行 45fps~60fpsライバル車が近くに多くいると、fpsは低下(低下しても遊べる範囲だと思います) ボディとシャーシがバラバラに表示される場合はワイドスクリーンパッチを無効にしてください。 ■備考 投稿:2011/04/17 追記:2022/8/12 バトルギア3(動作状況 ○) pcsx2 1.5.0 dev3091 GSdx1.1.0(20190425164807) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 1.5.0dev3083で対応が進んだような記載があったのでダウンロードして検証 dev3079や0.9.9-5092などの古いverだと壁の中に突っ込んだようになりプレイ不可でした 1.5.0の3083と3091はどちらも正常に動作したので3083以降なら動くと思います(報告は新しい方の3091であげておきます) NSX選択 ノーマルレース初級順走で軽くプレイ D3D(HW)6×Native 60fps動作 画像が綺麗です^^ ■備考 投稿:2019/04/27 バトルスタジアムD.O.N(動作状況 〇) PCSX2 1.7.4897 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 ひとりでバトスタで1試合動作確認 試合開始前のローディング時にfpsが低下するが実機でも同様 ローディング時除き3Xnativeで常時60fps ■備考 2023/8/11 バトルフィールド2 モダン・コンバット(動作状況 ○) pcsx2-v1.7.3085-wxWidgets Software ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 30~60FPS。HWだとムービーちらつき、タイトル画面表示不良など不具合が多いので未チェック 最初のミッションのみ確認 ■備考 投稿 2022/07/18 パネルクイズ アタック25(動作状況 〇) PCSX2 1.5.0dev3400 GSdx 20200327183028 SPU2-X 2.0.0(20200327183028) cdvdGigaherz 0.11.0(20200327183028) RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 PARTY MODEで1プレイ動作確認 D3D(HW)だとプレイに支障無いレベルの切れ目が入る 6xNativeで60fps動作 ■備考 2020/4/30 はぴねす!でらっくす初回限定版(動作状況:◎) pcsx2 0.9.9.5135 GSdx5135 0.1.16 SPU2-X r5112 2.0.0 LinuzIso CDVD 0.9.0 Ci5 2500K@定格 DDR3 4GBx2 Geforce GTX560Ti win7 64bit窓辺ななみ ■動作速度・不具合の有無 ゲーム中の2/15終了まで動作確認 OPムービー時、右・下隅の表示がおかしい 「Nativeチェック」かrendererをsoft設定にすれば改善 プレイ上の問題点は見当たらず 60fps動作 ■備考 ID SLPS25719 CRC 0x5D8A21F2 投稿:2012/4/1 パラッパラッパー2(動作状況 ○) pcsx2-v1.5.0-dev-2242 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。SWとOpenGLではタイトル画面等の表示がおかしい。 DirectX11(HW)でも時折表示がおかしいときがあるがプレイに支障はない。 ■備考 投稿 2018/01/08 パラッパラッパー2(ベスト版)(動作状況 ○) PCSX2 0.9.6 Gsdx 962 SPU2X 1.1.0 Linuz Iso CDVD 0.8.0 C2D E8500 DDR2 1GBx2 GeForce9800GTX+ winddowsXP SP3 ■動作速度・不具合の有無 ほぼ常時60fps(ZeroGS0.97.1では常時60にならず) 動作上の不具合無し ステージ1 クリア確認 ■備考 投稿2:2010/03/15(50音順に並び替え) バルドバレット イクリブリアム(動作状況 ○) PCSX2 0.9.7.3795 GSdx3693(0.1.16) SPU2-X r3702 Linuz Iso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 GeForce9800GTX+ win7 ult 32bit ■動作速度・不具合の有無 デフォルト設定起動では、メーカーロゴ等色がおかしい スタート画面も色がおかしい。 まともに遊べそうに無いので「エグゼ」同様 Gsdx9「Renderer」を「software」に変更すると色が正常に 常時60fps 超序盤(着任ENDまで)動作確認 ■備考 投稿:2010/09/25 バルドフォースエグゼ(動作状況 ○) PCSX2 0.9.7.3634 GSdx3623(0.1.16) SPU2-X r3628 Linuz Iso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 GeForce9800GTX+ win7 ult 32bit ■動作速度・不具合の有無 デフォルト設定起動では、ブラックアウト Gsdx9「Renderer」を「software」に変更すると起動 常時60fps(GSdx10だと15fpsとかになるので、9のが良さそう) 超序盤のみ(一番始めの進入→サイン残す...って所)動作確認 ■備考 投稿:2010/08/12 パルフェ~Chocolat Second Style~(動作状況 ○) PCSX2 0.9.7.2661 ZeroGS 0.97.1 SPU2-X r2659 Linuz Iso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 GeForce9800GTX+ winddowsXP SP3 ■動作速度・不具合の有無 ほぼ常時60fps Gsdxでも問題なし ゲーム上の10/10迄プレイ確認 ■備考 投稿:2010/03/15 バレーボールワールドカップ ヴィーナスエボリューション(動作状況 〇) PCSX2 1.7.5540 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 ワールドカップ-チャレンジカップで1セット動作確認 解像度を上げると切れ目が入る(プレイには支障の無い範囲か) 切れ目気にせず3Xnativeで常時60fps ■備考 2024/2/4 パンツァーフロントB型(動作状況 〇) PCSX2 1.7.0-dev-1907 Direct3D 11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 TACTICS-TRAINING-メルドープで動作確認 特に不具合は見当たらず 6XNativeで60fps動作 IV号戦車D型等登場するので十数年世に出るのが早かったかも... ■備考 2021/10/15 鋼の錬金術師 翔べない天使 (動作状況 ○) PCSX2 r1438 GSdx r1439 SPU2-X-r1419 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 トレインジャックだけ確認。常時60FPS ムービーだけイマイチ Direct3DでFPSが不安定な場合OpenGLにすると解決するかも ■備考 スピハク、アドバンスはデフォ設定 鋼の錬金術師2 赤きエリクシルの悪魔 (動作状況 ◎) pcsx2 r1474 GSdx r1650 SPU2-X-r1644 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 クリア確認。基本FPS60 終盤で敵が大量に出現するシーンやワープで50前後に低下 ただし内部解像度を下げるとFPS60固定も可能 Gsdx10Hard(1600x1600) ■備考 スピハク、アドバンスはデフォ設定 1同様ムービーのインタレ解除が不完全 一部場面で床のテクスチャの一部がおかしくなる。確認したのはコーネロが引き摺り込まれた跡とシャムシッドで大量のゴーレムを相手にする場面。他にもあるかも。 動作については問題無かったので◎ 鋼の錬金術師 ドリームカーニバル (動作状況 ○) PCSX2 r1474 GSdx r1637 SPU2-X-r1517 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 序盤だけ確認。バトルモードで速度低下するが対処可。それ以外は60FPSキープ。 Gsdx10Hard(1600x1600) ■備考 Use x2 Cyde Rate, INTC Sync Hack, Enable IOP x2 Cyde Rate,VU Cyde Stealing(左から二つ移動) アドバンスはデフォ設定。 ■備考 0.97 r2366でも試したが症状変わらず。 爆笑 人生回道 NOVAうさぎが見てるぞ!!(動作状況 ○) pcsx2-v1.5.0-dev-3041 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(HW)、2xNativeでほぼ60FPS。基本6xNativeでもいけるが一部ミニゲームで30FPS前後に下がる。 内部解像度が実解像度を超えるとメーカーロゴからオープニングで格子状の線が入る。フルHDモニタなら3xNativeだと縦の解像度が足りないので2xNativeまでで。タイトル画面以降は基本問題ない。 一部グラフィックに線が入るが気になるほどではない。どうしても気になるならNativeかSWで ちょこっとプレイ程度 ■備考 投稿 2019/04/21 爆走コンボイ伝説 男花道アメリカ浪漫(動作状況 ○) pcsx2-v1.5.0-dev-3120 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(SW)で40~60FPS。レンダリングスレッド14で HWだと画面全体が常にオレンジっぽいしましま模様になるのでプレイは厳しい ちょっとプレイ程度 ■備考 投稿 2019/05/07 爆走デコトラ伝説 男花道夢浪漫(動作状況 ○) pcsx2-v1.5.0-dev-3120 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(SW)で60FPS。レンダリングスレッド8で HWだと画面の大部分が真っ黒なのでプレイできない。設定では直せない模様。 全国制覇モード1回だけチェック。 ■備考 投稿 2019/05/07 花と乙女に祝福を-春風の贈り物-(動作状況 ◎) pcsx2 0.9.8.4582 GSdx4576 0.1.16 SPU2-X r4574 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 ゲーム中の5/11終了まで動作確認 メッセージウインドゥの文字と文字の間に切れ目が入るがプレイには支障なし 上記がどうしても、気になる場合は「native」チェックで改善 60fpsで快適動作 ■備考 投稿:2011/04/23 破滅のマルス (動作状況 ○) pcsx2-v1.5.0-dev-2501 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、4xNativeでほぼ常時60FPS。 最初の戦闘までチェック ■備考 投稿 2018/08/24 流行り神Revenge 警視庁怪異事件ファイル(動作状況 ◎) PCSX2 0.95 rev377 GSdx 0.1.9 ZeroSPU2 0.4.4 Linuzappz CDVD 0.7.0 C2D E6850(3.4Ghz) DDR2-800 1GBx2 Geforce 8800GT XP sp2 ■動作速度・不具合の有無 零話終了まで確認、60FPSキープ ■備考 再現度は非常に高い 流行り神2 警視庁怪異事件ファイル(動作状況 ◎) ■動作速度・不具合の有無 PCSX2 0.9.6にてクリア確認。常時60fps、完動。 ■備考 半熟英雄 対 3D PCSX2 0.95 svn239~302 GSdx 0.1.7 ZeroSPU2 0.4.4 Linuzappz CDVD 0.7.0 C2D E6850 3.4GHz DDR2-800 1GBx2 Geforce 8800GT XP SP2 / Vista ■動作速度・不具合の有無 (動作状況 △) 起動 → 実行じゃないとゲームに入れない 一応動くが戦闘シーンでは場合により1~3FPSになる 戦闘でテスクチャがグチャグチャになり画面がかなり見づらい P.E.Op.S.だとムービーが即止まる(ZeroSPU2でも途中で止まる) ■備考 プレイは困難 PCSX2 0.9.9.4933(svn) GSdx 4928 0.1.16 SPU2-X r4872 2.0.0 Linuz Iso CDVD 0.9.0 Core i5 2500K DDR3 4GBx4 Radeon 6870 Win7 SP1 ■動作速度・不具合の有無 (動作状況 ○) 第1話は問題なく動作 第2話に入る前のタイトルでIPU1 Errorで停止 GameFixのMPEGをスキップするHackにチェックすることで動作 PCSX2 1.7.5945 ソフトウェア iso起動 scph10000 Core i7-9700K DDR4 16GBx2 RTX2070 Wwindows 10 64bit ■動作速度・不具合の有無 (動作状況 ◎) 第1話~最終話までエラー・フリーズ等なくスムーズ動作した 以前のバージョンでは不具合があったようだが、現在のバージョンでは改善されているものと思われる ただし、対応しているレンダリングはソフトウェアのみ ハードウェアレンダリングにするとフィールドや背景、ボスキャラのグラフィックが劇的に改善するが、半熟モンスターの描画に不具合が出るほか、ムービーに入る際にフリーズが発生した(レンダリングをソフトウェアに変更することで、ゲームを終了することなくムービーの再生は出来た) 半熟英雄4 ~7人の半熟英雄~(動作状況 ◎) PCSX2 0.95 rev377 GSdx 0.1.7 ZeroSPU2 0.4.4 Linuzappz CDVD 0.7.0 C2D E6850 (3.4GHz) DDR2-800 1GBx2 Geforce 8800GT XP sp2 ■動作速度・不具合の有無 クリア確認、ムービーも正常に表示されるようになりFPSは常時60付近 P.E.Op.S.だとムービーがすぐに止まる ZeroSPU2 0.4.6ではたまに音声がループに陥りFPSが著しく下がる ■備考 Vistaでは場所によってFPSが最大で10程下がるが、クアッドだと下がり幅が少なかった
https://w.atwiki.jp/twitrans/pages/82.html
Pak64 RoadTool(1) [Type]アドオン名 作者 投稿日 画像 動作確認ver リンク 説明等 名前 アドオンの感想など 歩道とアスファルト dora 2012/07/08 112.3にて動作確認(makeobj48) sidewalk.zip 市電ツールと船舶ツールと道路ツールに出ます。使い方は感じ取って下さい。付属のアスファルトと組み合わせれば駅前ロータリーが再現できます。改造元の使用条件を確認済みです。wa氏の道路セットのソースを改造させて頂きました。感謝申し上げます。2012/07/08更新 歩道4色追加、グラフィックの向上、降雪対応など (ファイル名が変わっているので更新時注意して下さい) 名前 アドオンの感想など
https://w.atwiki.jp/dmori/pages/79.html
(タイトル)概要 動作確認 テストコードパタン1 ソースコードファイル1 ファイル2 (タイトル) 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 未確認 Cver 未確認 テストコード (概要を記述予定) (実行方法を記述予定) パタン1 (パタン内容を記述予定) initial begin //verilogテストパタン1 end (実行結果1) ソースコード ファイル1 (verilogソースコード1) (概要を記述予定) ファイル2 (verilogソースコード2) (概要を記述予定) 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/argo_online/pages/92.html
動作環境 ARGOをプレイするために以下の環境が必要であると示しているが専門的用語では理解しにくい。これはどのオンラインゲームでもいえる。 動作環境一覧 標準動作環境 推奨動作環境 OS 日本語版 Microsoft Windows XP / Vista / 7 #ref error :ご指定のファイルが見つかりません。ファイル名を確認して、再度指定してください。 (nowrap) 仮想デスクトップ、Server版、Windows XP Mode for Windows 7は動作対象外 CPU Core 2 Duo (Core i5) 以上 Core 2 Quad (Core i7) 以上 Athlon X2 (Athlon 64 X2) 以上 Athlon X2 (Athlon 64 X2) 以上 GPU グラフィックカード※下はビデオメモリ GeForce 8 Series 以上256MB 以上 GeForce 9 (GeForce 200) Series 以上512MB 以上 Radeon HD 2xxx Series 以上256MB 以上 Radeon HD 3xxx Series 以上512MB 以上 内蔵GPU(ノート含) マザーボード(またはCPU)内蔵型のビデオチップセットは上記相当性能の製品が対象 画面解像度 モニターおよびPCが最低 横 1024 Pixel、縦 768 Pixel 以上をサポートしていることが必須 RAM(メモリ) 2GB 以上 3GB 以上 DirectX DirectX 9.0c 以上 通信環境 VDSL 5Mbps 以上推奨(光通信など) OS Windows95・98・Me・NT・2000・Server 2003やMac系は使用できない。 Windows Vista はOS本体のデザイン(グラフィック)でメモリを他のOSとくらべて使用するので、パフォーマンスが落ちることがある。 UP▲ CPU ARGOはCore 2 Quad (Core i7)を推薦しているが、Core 2 Duoで十分である。i7シリーズは動画キャプチャーや動画配信する場合に適している。 CPU 以下のCPUについて 事前に動作確認が必要 期待できない 期待できない CPU交換時は事前にソケット形式を確認する必要がある。ただしノートパソコンは交換できない。 UP▲ GPU(グラフィックカード) グラフィックカードは高価(ハイスペック)な物が良いと思いがちだが、CPUとのバランスが大事である。購入時は専門の者に搭載しているCPU元に相談すると良い。 UP▲ ノートパソコン ノートパソコンは基本的には適さない。使用できるのは本体に、一覧のCPUとGPUでいずれかのグラフィックチップが内臓されている必要がある。これらは本体に貼られているラベルで確認する事ができる。CPU・GPUは内臓により固定されているなるので変更できない。 UP▲ 画面解像度 画面解像度は以下の方法で知る事ができる。 Winndows 7 Windows Vista Windows XP デスクトップの何もないところを右クリック ↓ ↓ ↓ 画面の解像度をクリック 個人設定をクリック プロパティをクリック ↓ ↓ ↓ 画面の設定をクリック 「設定」タブをクリック ↓ ↓ 「解像度」の項目に表示 「解像度」の項目に表示 「画面の解像度」の項目に表示 UP▲ RAM RAMはメモリのことであるが追加する場合、デスクトップとノートブック用の2種類の規格とモジュール規格がDDR2からDDR5まであるので注意が必要である。購入する場合は事前に説明書で確認するか、専門の者に相談する必要がある。 UP▲ DirectX DirectXとはゲーム及びマルチメディア処理用プログラムである。グラフィック・サウンド・コントロールなど様々な役目を果たす。Windowsでは標準でインストールされているが、最新版のDirectXをダウンロードすることも出来る。 UP▲ 通信環境(インターネット) アナログ回線は使用できない。ADSLは電話局から2Kmぐらいをめどに通信能力が劇的に落ちるので、光ファイバー・ケーブルテレビなどが適している。 UP▲